Press Releases

Examination of OSAT and Foundry Competition in High-Performance Packaging

February 9, 2024

The competition is increasing in the OSAT space with Intel and Samsung offering OSAT services for silicon not fabricated in their foundry. TechSearch International examines high-performance packaging offerings from top OSATs and foundries with a score card for the options. This competition may be driven by the shortage in assembly capacity for AI packages used for training and inferencing. With increased capacity coming online for silicon interposers, chip-on-wafer (CoW) assembly, and high bandwidth memory (HBM), the assembly crunch is expected to ease by the end of the year. High Density Fan-Out (HDFO) using redistribution layers (RDL) instead of silicon interposers is emerging as an option. It has been used for AMD’s machine learning and Apple’s high-end graphics computing station for several years, and automotive companies are exploring its use for future chiplet designs. Intel continues to use its embedded silicon bridge in a laminate substate. TechSearch International’s latest analysis describes AI market trends with a new market projection for training and inferencing packages.

The report analyzes build-up substrate supply and demand, finding no shortage in the overall industry. AI, server CPUs, and network switch packages continue to drive the growth in body size. With pull back in capacity plans and the growth in body sizes, supply and demand will become tight in 2028. Fortunately, the new plants coming online have higher yields. The report also examines the market for flip chip bonders used in fine pitch device assembly.

The electronics industry continues to burn through inventory, but this year’s decline in smartphone and PC shipments made it a difficult year, as reflected in OSAT financials. The outlook is brighter this year. OSAT financials are highlighted in the report.

The semiconductor industry is experiencing renewed attention from governments around the world. The report highlights some of the plans and list various incentives offered by governments globally. A list of U.S. government funded projects and programs, award winners, and activity is provided.

The latest Advanced Packaging Update is a 60-page report with full references and an accompanying set of ~60 PowerPoint slides.

product page
press release

Examination of Drivers for Co-Packaged Optics

November 6, 2023

The need for high interconnect bandwidth capacity and improved I/O power efficiency, especially in hyperscale datacenters, continues to drive the development of co-packaged optics (CPO). Optical interfaces can significantly improve I/O density by optimizing solutions along with packaging density, speed per lane, and number of wavelengths per channel. The CPO of the future will allow chip-to-chip photonic connections, which will help enable faster AI clusters. TechSearch International’s latest analysis describes the activities of key companies and research organizations and discusses challenges.

The electronics industry continues to burn through inventory, but this year’s decline in smartphone and PC shipments continues to make it a difficult year. OSAT financials are provided for the first half of the year. Projected recover in these sectors promises to improve the situation next year. Bright spots include Apple’s iPhone market share growth and Huawei’s introduction of its Mate 60 Pro with packages from many domestic Chinese suppliers.

An analysis of the panel fan-out market is provided with an overview of the key players and the markets served. A projection for demand by number of packages and panels is provided, along with a capacity analysis. The report also updates the status of microLEDs with an examination of applications and players. An update on the elimination of per and polyfluoroalkyl substances (PFAS) is included in the report.

The latest Advanced Packaging Update is a 61-page report with full references and an accompanying set of ~70 PowerPoint slides.

product page
press release

Examination of Advanced Packaging's Growth in AI

July 31, 2023

TechSearch International’s latest analysis examines demand for packages used in AI. As the industry enters the AI era, successful hardware deployment requires a supply of silicon interposers, or alternatives such as redistribution layer (RDL) structures and advanced laminates, to support high density. With the desire to add more and more high bandwidth memory (HBM) stacks, the size of the interposers is growing, driving demand for larger build-up package substrates. TechSearch International analyzes industry readiness with silicon interposers (and alternatives), large body-size packages, and HBM. Reliability issues for large body size packages are highlighted. Demand projections are provided for interposers and HBM. Supply and demand for build-up substrates is examined, given the need for large substrates. The report also examines the status of glass for substrates and provides an update on the technology. The report points out challenges to be addressed.

While wafer-to-wafer (W2W) hybrid bonding has been in production for image sensors for many years, and is seeing growing use in the production of NAND Flash, die-to-wafer (D2W) adoption is in the early stages. The report analyzes the adoption for memory on logic, logic on logic, and the future for HBM. Research activities and challenges are discussed.

RF package trends are highlighted with an in-depth examination in the mobile space. Alternatives to laminate substrates are discussed. The report examines OSAT financials, discussing prospects for the year.

The latest Advanced Packaging Update is a 110-page report with full references and an accompanying set of ~100 PowerPoint slides.

product page
press release

Market Forecast for BGAs and CSPs Reveals the Downside of the Covid Spending Spree in Electronics, Provides an Update on Build-up Substrate Supply and Demand, and Highlights the Continuing Role of Legacy Packages for SiC and GaN Power Devices

May 18, 2023

TechSearch International’s latest analysis explains the tough year ahead for semiconductor companies, OSATs, and foundries after the record highs from the Covid-induced spending spree. Market forecasts for Ball Grid Arrays (BGAs) and Chip Scaled Packages (CSPs) are provided in units. The CSP market is divided into laminate (FBGA and FLGA) and leadframe (QFN) substrates. Stacked die package trends are included. Unit growth projections for Cu clip and molded interconnect substrates (MIS) are provided. Package examples and demand drivers are included. TechSearch International analyzes the supply and demand for build-up substrates used for flip chip BGAs and the excess capacity expected resulting from declining demand and inventory. The report includes OSAT financials and examines economic trends impacting the industry with an analysis of CAPEX plans for the year.

Automotive electronics and especially electric vehicles (EVs) are a bright spot. Increased adoption for SiC and GaN in applications including EVs and charging stations, renewable energy, fast charging for mobile devices, and power suppliers are driving demand for legacy packages such as TOs and power modules for SiC and DFNs and QFNs for GaN. Embedded die packages are playing an increased role. With strong growth in China’s EV industry, the country is well positioned with the infrastructure to support the expansion.

The latest Advanced Packaging Update is a 100-page report with full references and an accompanying set of more than 90 PowerPoint slides.

product page
press release

Examination of Chiplet Strategies and Markets, North American OSAT Capability, and Build-Up Substrate Supply and Demand

February 28, 2023

TechSearch International’s latest Advanced Packaging Update examines strategies for the adoption of chiplets and current products. While the market is small today in unit volume, a 103% CAGR is projected from 2022 to 2026. Challenges, including design and test, are discussed.

The report includes OSAT financials and examines economic trends impacting the industry. The North American OSAT market is examined with a focus on advanced packaging capability. Future plans for North American OSATs are discussed. The report also highlights packaging and assembly in Mexico.

TechSearch International analyzes the supply and demand for build-up substrates used for flip chip BGAs. The sharp decline in the PC and other markets have lowered demand and the industry has excess capacity. Some unused capacity is being released this year and a few substrate makers are slowing capacity expansion. Substrate companies are facing price pressure, creating an unhealthy condition for the industry.

The latest analysis is a 47-page report with full references and an accompanying set of ~40 PowerPoint slides.

product page
press release

Impact of Slowing Demand on FC and WLP Analyzed in Latest Report

November 16, 2022

TechSearch International’s latest report: 2022 Flip Chip and WLP: Trends and Market Forecasts examines the impact of slowing consumer demand on the flip chip and WLP market growth. The report includes an examination of both fan-in WLP and fan-out WLP markets. The report also examines bump pitch trends and the role of hybrid bonding.

Chiplet architectures are increasingly being adopted to achieve the economic advantages lost with the high cost of silicon scaling on advanced nodes. With chiplet designs, more silicon is needed to support the disaggregation of the monolithic die into smaller intellectual property (IP) building blocks. Many devices have made the conversion from solder bumps to Cu pillar. FO-WLP processes in production using the Deca M-Series™ and TSMC’s InFO use a Cu pillar on the native wafer. Reduced demand for smartphones and PCs this year is changing demand for flip chip packages, but growth will continue. The compound annual growth rate (CAGR) for all types of flip chip devices in units from 2021 to 2026 is 4.5 percent. This includes both solder bump and Cu pillar. Industry-wide capacity utilization was extremely high last year, but has decreased more than 10 percent this year. With continued capacity expansion, utilization will not reach levels seen in 2021 over the next several years.

Despite lower demand for consumer products such as smartphones, Fan-in WLP shipments will increase this year because of continued growth in image sensor demand, particularly for surveillance cameras in China. The WLP count continues to climb for most products. In a few cases, WLP counts have declined in products due to higher levels of integration. The projected CAGR from 2021 to 2026 in units is 7.83 percent. Devices using FO-WLP include application processors, RF, PMICs, audio CODEC, envelope trackers, automotive radar, and some image sensors. Single die are common and multi-die configurations are increasing. A 6.3 percent CAGR in units is projected for 2021 to 2026. Several companies are researching, developing, or installing panel-based production lines. A variety of approaches for large-area production have been developed. No consistent method or panel size has emerged, but several companies have adopted panel sizes of 600 mm x 600 mm. While applications for panels include some portion of the reconstituted wafer market, new applications such as power devices are emerging.

The latest analysis is a 106-page report with full references and an accompanying set of ~140 PowerPoint slides.

product page
press release

Examination of High-Performance Package Options and Update on Build-up Substrate Shortage

July 25, 2022

TechSearch International’s latest analysis describes high-performance package options with an update on TSMC’s new offerings, including the latest organics CoWoS® versions. New high-end product examples using fan-out on substrate are discussed. Features of the build-up substrates supporting redistribution layer (RDL) structures are provided. Applications for high-performance wafer-to-wafer hybrid bonding are also described. Trends in integrated photonics packaging are discussed, including the use of fan-out options.

The report includes OSAT financials and examines economic trends impacting the industry. The past two years saw phenomenal growth in shipments of smartphones and PCs. Reduced demand for smartphones and PCs this year is changing package demand. High-performance and mid-range Samsung smartphones are examined to determine package usage.

TechSearch International analyzes changes in the gap between manufacturing demand and capacity for build-up substrates. Lower demand for PCs is easing the shortage this year, but demand continues to increase for the more complex, more expensive FC-BGA substrates. Examples of substrate features are included. IC package substrate design rules for major suppliers are provided in the report.

The latest Advanced Packaging Update is an 89-page report with full references and an accompanying set of 43 PowerPoint slides.

product page
press release

Impact of World Events and Material Shortages on BGA and CSP Market Growth

May 11, 2022

2021 was a record year for the semiconductor industry and OSAT revenues, but the outlook for 2022 is less certain. The war in Ukraine, inflation, China’s Covid lockdown, and continued supply chain disruptions threaten global economic growth in 2022 and could impact the electronics industry. TechSearch International’s analysis provides a forecast for BGAs and CSPs including FBGAs, LGAs, stacked die CSPs, and QFNs. Details of electronics products using these packages are based on TechSearch International’s teardowns.

High-performance packaging using heterogeneous integration is a bright spot. Data center spending continues to expand the need for servers, AI accelerators, and network switches. Applications for machine learning are increasing. While there is strong demand for many devices, shortages of leadframes and substrates are limiting shipments. The report highlights body size increases for build-up substrate resulting in the current capacity shortages. Growth for Cu clip packages remains strong, driven by increased demand for MOSFETs used in data centers, 5G infrastructure, and PCs. Lower growth projections for smartphones will result in less demand for many packages. TechSearch International’s report provides an analysis of the impact of China’s Covid lockdowns on smartphone sales in the region and the impact on package volumes.

TechSearch International provides an analysis of cryptocurrency, energy consumption with its use, and package trends.

The latest APU is a 96-page report with full references and an accompanying set of 80 PowerPoint slides.

product page
press release

Analysis Shows Build-up Substrate Shortage Count End in 2026

February 14, 2022

The shortage of build-up substrates will be worse this year than in 2021, and the gap between supply and demand looms even larger in 2023. Many substrate makers are adding capacity, but it takes time because of long lead times for equipment and construction of new buildings. By 2026 supply is expected to be inline with demand, unless substrate body sizes increase more than projected. The industry is looking for ways to address the interim situation, including yield improvements, switching to alternative substrates such as mSAP for lower layer counts, and designs with smaller body size.

TechSearch International provides an analysis of the status and challenges for 3D hybrid bonding for chiplets. The report highlights critical areas for this transformational technology.

The Electric Vehicle (EV) market is heating up and sales could reach 14 million vehicles. Demand for automotive-grade, legacy packages (such as SO, TO, and QFP) are project to see a 20% CAGR from 2025-2030. With the move to 800V inverters, the use of SiC is projected to increase. Higher voltages will require new packages and materials. The report also discusses battery makers and the status of materials that are used for EV battery production.

Snags in the U.S. 5G rollout are discussed including a discussion of C-band. The report also provides an analysis of the OSAT financials with rankings for the top 20 companies.

The latest APU is a 52-page report with full references and an accompanying set of 60 PowerPoint slides.

product page
press release

Demand Surge in Fan-Out and Embedded Bridge for High Performance Packaging

November 10, 2021

With AMD's announcement of its Elevated Fanout Bridge (EFB) GPU package, the embedded bridge for fan-out (FO) on substrate is in the spotlight. With assembly of EFB at an OSAT, the development highlights the ability of OSATs to have a strong play in the high-performance packaging market. TechSearch International discusses the advantages of fan-out on substrate and embedded bridge structures with an emphasis on packaging for advanced nodes in its latest Advanced Packaging Update (APU). The adoption of chiplets is expected to remain one of the most important advances in this decade. Advanced packaging options from foundries, IDMs, and OSATs are highlighted including developments in 3D. Challenges such as test and thermal issues are presented with some potential solutions. A market forecast for silicon interposer and high-density FO packages is provided. Trends in high bandwidth memory (HBM) are discussed and a market forecast is included.

Continuing semiconductor shortages and supply chain disruptions are discussed. OSAT financials for the first half of the year are analyzed. TechSearch International quantifies the gap between manufacturing demand and capacity and shows it will continue through 2025. The report discusses how the gap could be reduced with higher yields for large substrates.

The latest APU is a 43-page report with full references and an accompanying set of 51 PowerPoint slides.

product page
press release

Analysis Shows Shortage of FC-BGA Substrates Persists

June 24, 2021

Despite capacity increases this year, and additional plans through 2025, demand for FC-BGA substrates is outstripping supply. What’s driving the insatiable demand? Larger substrates are required to support high-performance applications including silicon interposers larger than reticle size and large-area fan-out. The adoption of chiplets requires a complex substrate. With 7, 8, 9, or 10 build-up layers required on each side, more manufacturing capacity is needed to meet package demand for servers, AI, and network switching applications. TechSearch International quantifies the gap between manufacturing demand and capacity and shows it will continue through 2025. The report explores new package and substrate developments, including RDL interposers and glass.

The new Advanced Packaging Update covers the latest developments in co-packaged optics. The report also discusses continued semiconductor shortages and OSAT financials. IC package substrate design rules for major suppliers are included in the report.

The latest APU is a 97-page report with full references and an accompanying set of 50 PowerPoint slides.

product page
press release

What's in the Apple Watch Series 6?

June 24, 2021

TechSearch International’s teardown of the Apple Watch Series 6 GPS+LTE examined 108 board-level packages plus another 17 packages inside modules and found 27% more wafer level packages (WLPs) than in the previous Series 5, including packages in the wireless charger. Apple used more multi-die modules in the new watch.

X-ray images, shot on equipment provided by Creative Electron, offer a look inside the modules. Details about the die inside were revealed after decapsulation. An increasing number of flip chip die can be found, along with WLPs and some wire bond parts. Apple’s S6 Application Processor continues to be packaged in TSMC’s InFO fan-out WLP in a package-on-package format. A full deconstruction of the PoP was performed.

• All 38 packages encapsulated in the S6 module extracted in whole
• Construction analysis of fan-out package-on-package (PoP) use for processor and memory
• Cross-sections and laminate substrate metrics
• Metrics for all 156 die in the watch and charger provided

The latest teardown is a 117-page report and an accompanying set of 25 PowerPoint slides gives extra insight into the product.

product page
press release

TechSearch International's BGA and CSP Market Analysis

May 27, 2021

The industry saw strong production growth in 2020 and Q1 2021 was a record quarter compared to a year ago. Demand for PCs, especially laptops, tablets, wearables, servers, and automobiles, is keeping pressure on semiconductor production, as well as packaging and assembly operations. Semiconductors are in short supply, especially for automotive. Long lead times for leadframes, laminate substrates, and wire-bonding equipment, could hamper the industry from meeting the projected strong demand. OSATs booked record revenues in 2020 with 5.5% growth year-over-year reported for the top 20 companies. A dozen OSATs experienced double-digit growth. CAPEX spending is increasing. Growth should continue if shortages do not hold the industry back. The report also highlights the increased introduction of advanced packages from the foundries. In some cases the foundries compete with the OSATs, but in others they are key partners, making for a complex relationship.

Demand for CSPs continues to be strong, especially for QFNs with a record growth of 22% in 2020 and similar expectations for this year. TechSearch International’s analysis of teardowns finds QFNs in almost every major product. The report also discusses growth in Cu clip packages, FBGAs, FLGAs, stacked die, and package-on-package (PoP).
The new Advanced Packaging Update provides an update on AI developments, including edge computing. Market projections for PBGAs are provided with details of the high volume packages driving growth.

The latest APU is a 73-page report with full references and an accompanying set of 74 PowerPoint slides.

product page
press release

TechSearch International Analyzes Substrate Shortages and Explores Potential Solutions

March 22, 2021

Substrate capacity remains tight for both flip chip ball grid array (FC-BGA) substrates and laminate-based chip scale packages (CSPs). Substrate suppliers are adding capacity to meet the demand for FC-BGA substrates for server, graphics processor, and networking applications, but demand continues to outstrip supply. Regardless of the package type—whether silicon interposer, embedded bridge, FO-on-substrate, or Redistribution Layer (RDL) interposer—a laminate substrate is used to form the package. TechSearch International quantifies the gap between manufacturing demand and capacity. The report explores options including yield improvement and substitutes under consideration to solve the crisis. RDL interposers and suppliers are discussed. Panel fan-out options and new players are described.

The new Advanced Packaging Update provides an update on the 5G rollout and the differences between the mmWave and sub-6 GHz smartphones with a look inside Apple’s iPhone 12 Pro. The report also discusses semiconductor shortages, OSAT financials, and the growth of electric vehicles.

The latest APU is a 52-page report with full references and an accompanying set of 50 PowerPoint slides.

product page
press release

TechSearch International Quantifies Heterogeneous Integration Market Growth Including Chiplets and SiP

February 18, 2021

The market for heterogeneous integration is projected to grow 10% in number of packages from 2020 to 2025, reaching almost 54 billion packages. Smartphones, wearables, and consumer packages account for the largest number. RF front-end modules including the latest 5G mmWave modules and wireless connectivity modules for Wi-Fi, Bluetooth, and other networks account for nearly half of all heterogeneous integration devices counted in this report. High-performance computing, 5G telecommunications infrastructure, networking, stacked memory, automotive, and medical applications also contribute to the growth in heterogeneous integration packages.

The market for packages using chiplets is expected to show a CAGR of 104% from 2020 to 2025. A chiplet is a functional circuit block and includes reusable IP blocks. It is a physically realized and tested IP with a standard or proprietary communication interface between IP blocks. A chiplet functions with other chiplets, so the design must be co-optimized and the silicon cannot be designed in isolation. An increasing number of companies are turning to chiplets to achieve the economic advantages lost with expensive monolithic scaling, ushering in a new era of smart packaging. The adoption of chiplets represents an inflection point in IC design for CPUs and GPUs; similar to the transition from perimeter pad design to area array with the adoption of flip chip interconnect. It is also possible to have higher core counts and therefore higher performance than with a monolithic design. There is a potential for improved power distribution. Binning the chiplets provides an opportunity to optimize performance even further. Chiplets have been adopted for server processors and AI accelerators, desktop and laptop processors, networking, and adoption in mobile applications such as smartphones and tablets is anticipated. Chiplets can be configured side-by-side or as a 3D stack. The 3D stack may use microbumps, but the direct Cu-to-Cu bonding method provides some of the greatest density and electrical advantages.

Quantifying the Impact of Heterogeneous Integration: Chiplets and SiP is a 130-page report with full references and an accompanying set of 122 PowerPoint slides.

product page
press release

TechSearch International Examines HBM Growth and Package Options for HBM+Logic

November 30, 2020

The market for high bandwidth memory (HBM) is projected to grow 49% in wafers, including DRAM and logic layers, from 2020 to 2024. Growth is driven by increased adoption in high-performance computing such as AI, networking, graphics, and future server processors. Solutions to package HBM plus logic include silicon interposers (the most mature), fan-out on substrate using a redistribution layer (RDL), and many bridge solutions. The report examines the increasing number of bridge solutions for RDL structures, silicon interposers, and laminate substrates such as Intel’s embedded Multi-Die Bridge (EMIB) and IBM’s Direct Bonded Heterogeneous Integration (DBHI) silicon-bridge solution.

HBM uses TSVs and µbumps to achieve a 3D format, but the report also examines other 3D stacking methods including the use of hybrid bonding for DRAMs and SRAMs. New offerings from Samsung and TSMC are discussed.

The new Advanced Packaging Update also examines thermal challenges in mobile, high-performance applications such as memory and logic, and photonics. Thermal interface material developments are presented.

TechSearch International’s financial analysis of the OSAT market examines the performance for the first half of 2020 with projected growth for the year. A split of the revenue from assembly vs. test is provided. Also discussed are trends in modularization of smartphones with some of the latest “made in Japan” examples.

The latest APU is a 56-page report with full references and an accompanying set of 57 PowerPoint slides.

product page
press release

TechSearch International Projects Growth in FO-WLP Market

September 1, 2020

The fan-out wafer level package (FO-WLP) market is projected to grow 15.5% in units from 2020 to 2024. Growth is driven by use in mobile devices such as smartphones and smartwatches, automotive radar, and increasing adoption in high-performance computing. Wafer shipments are driven by adoption in AI accelerators, GPU/CPU, and networking applications with increasingly large areas. Foundry and OSAT players are introducing new versions. Alternatives are discussed, including silicon interposers, embedded bridge, and RDL interposers.

The new Advanced Packaging Update examines developments in integrated passives and the growing demand for high-performance substrates. A special section on integrated photonics is included, answering the question of “why now?” TechSearch International, Inc. details a number of factors that have come together to drive the adoption of integrated photonics, explaining that it is not a volume play, but rather a strategic move to support the sales of hardware and services.

TechSearch International’s new financial analysis of the OSAT market shows the importance of investments in advanced packaging.

The latest APU is a 70-page report with full references and an accompanying set of 62 PowerPoint slides.

product page
press release

5G Infrastructure, AI Accelerators, and Server Markets Provide Growth, Despite Pandemic

May 5, 2020

Despite the economic downturn caused by the fight against the Covid-19 virus, there are some potential growth areas this year. Growth in advanced packaging continues for datacenter servers, AI accelerators, and 5G infrastructure. The shelter-in-place requirements have driven out-of-season demand for game consoles, commercial and educational laptops and tablets, and monitors. The pandemic is driving increased production of ventilators and wider adoption of telemedicine. Trends in the adoption of industrial IoT continue.

TechSearch International’s new report examines the type of packages that will benefit from this growth and provides a forecast for BGA and CSPs. In 2019, TechSearch International reports that approximately 140 billion units shipped (not including wafer level packages). Despite the impact of lower smartphone unit volumes in 2020 some specialty packages show growth. The report examines drivers for QFN packages with a special focus on Cu clip, as well as molded interconnect substrates (MIS). Examples of the type of CSPs used in a variety of products based on teardowns are provided. New developments in high-performance packages are discussed, including silicon interposers, embedded bridge, and fan-out on substrate.

A financial analysis of the OSAT market show the importance of investments in advanced packaging, especially SiP.

The latest APU is a 70-page report with full references and an accompanying set of 70 PowerPoint slides.

product page
press release

Who We Are
TechSearch International is recognized around the world as a leading consulting company in the field of advanced semiconductor packaging and assembly, electronics manufacturing, and materials.
Network
Contact
  • email message
  • +1.512.372.8887
  • +1.512.372.8889
  • 4801 Spicewood Springs Rd, Ste 150
    Austin, TX 78759
    United States