Volume 4-0223

February 2023
order form
This issue of the Advanced Packaging Update features a financial analysis of OSATs. A special section is devoted to advanced packaging in North America, highlighting assembly operations. Trends in the semiconductor industry are described, and advanced packaging roadmaps from major foundries and companies are included with an emphasis on chiplets. A market forecast for chiplets is included. An update on the build-up substrate market supply and demand is provided
  • Contents…
    • 1 Industry and Economic Trends
      • 1.1 Economic Trends
      • 1.2 Impact of China's Covid Surge
      • 1.3 Semiconductor Sector
        • 1.3.1 TSMC Revenue and CAPEX
    • 2 OSAT Financial Analysis
      • 2.1 Industry Overview
      • 2.2 OSAT Market Performance
      • 2.3 Company Highlights
        • 2.3.1 ASE Holdings
        • 2.3.2 Amkor Technology
        • 2.3.3 JCET Group
        • 2.3.4 Powertech Technology
        • 2.3.5 Tongfu Microelectronics
        • 2.3.6 Huatian
        • 2.3.7 UTAC
        • 2.3.8 KYEC
        • 2.3.9 ChipMOS
        • 2.3.10 Chipbond
      • 2.4 Outlook
    • 3 North American Assembly
      • 3.1 U.S.
        • 3.1.1 Captive U.S. Assembly and Test Operations
        • 3.1.2 U.S. OSATs with Flip Chip Assembly
          • 3.1.2.1 American Semiconductor
          • 3.1.2.2 Benchmark Electronics
          • 3.1.2.3 ENGENT
          • 3.1.2.4 i3 Microsystems
          • 3.1.2.5 Integra
          • 3.1.2.6 Kyocera International
          • 3.1.2.7 Mercury Systems
          • 3.1.2.8 Micross
          • 3.1.2.9 NHanced Semiconductor
          • 3.1.2.10 Promex
          • 3.1.2.11 Skorpios
          • 3.1.2.12 SkyWater
      • 3.2 Canada
        • 3.2.1 IBM Assembly and Test
      • 3.3 Mexico
    • 4 Chiplet Strategies and Markets
      • 4.1 Challenges
        • 4.1.1 Design
        • 4.1.2 Test
      • 4.2 Chiplet Strategies
        • 4.2.1 AMD
        • 4.2.2 Intel
        • 4.2.3 Samsung
        • 4.2.4 TSMC
      • 4.3 Chiplet Market Forecast
    • 5 Substrate Supply Analysis
      • 5.1 FC-BGA Supply and Demand
    • References
  • Figures…
    • 1.1 Monthly U.S. housing starts.
    • 4.1 UCIe layering approach.
    • 4.2 2D versus 3D stacking.
    • 4.3 AMD MI300.
    • 4.4 Hybrid bonding with 3µm pitch.
    • 4.5 Samsung's D2W hybrid bonding process flow.
    • 4.6 Eye diagram width vs. D2D line length at UCIe 32Gbps.
  • Tables…
    • 2.1 Top 20 OSATs Q3 2021 vs. Q3 2022 Revenue
    • 2.2 Top 20 OSATs 2022 Quarterly Revenue
    • 3.1 North American OSATs
    • 3.2 Semiconductor IDM Assembly Operations in Mexico
    • 3.3 U.S.-Mexico High-Level Economic HLED
    • 3.4 Design and Distribution Centers in Mexico
    • 4.1 Intel’s Process Technology Roadmap
    • 4.2 Intel’s Sapphire Rapids
    • 4.3 Intel’s Ponte Vecchio
    • 4.4 TSMC’s SoIC™ Roadmap
    • 4.5 Chiplet Package Market Forecast
    • 5.1 FC-BGA Substrate Supply and Demand
Stacks Image 25201
brochure
  • Published February 2023
  • 47 pages
  • 7 figures / 12 tables
  • 39 PowerPoint slides
  • $8,750 corporate license (4 issues)
    $2,500 single issue
Who We Are
TechSearch International is recognized around the world as a leading consulting company in the field of advanced semiconductor packaging and assembly, electronics manufacturing, and materials.
Network
Contact
  • email message
  • +1.512.372.8887
  • +1.512.372.8889
  • 4801 Spicewood Springs Rd, Ste 150
    Austin, TX 78759
    United States