Volume 4-0321

March 2021
order form
This fourth volume of the Advanced Packaging Update provides an update on the laminate substrate shortage. Substrate capacity remains tight for both flip chip ball grid array (FC-BGA) substrates and laminate-based chip scale packages (CSPs). Substrate suppliers are adding capacity to meet the demand for FC-BGA substrates for server, graphics processor, and networking applications, but demand continues to outstrip supply. Regardless of the package type—whether silicon interposer, embedded bridge, FO-on-substrate, or Redistribution Layer (RDL) interposer—a laminate substrate is used to form the package. TechSearch International quantifies the gap between manufacturing demand and capacity. The report explores options including yield improvement and substitutes under consideration to solve the crisis. RDL interposers and suppliers are discussed. Panel fan-out options and new players are described.

The new Advanced Packaging Update provides an update on the 5G rollout and the differences between the mmWave and sub-6 GHz smartphones with a look inside Apple’s iPhone 12 Pro. The report also discusses semiconductor shortages, OSAT financials, and the growth of electric vehicles.
  • Contents…
    • 1 Industry and Economic Trends
      • 1.1 Economic Trends
        • 1.1.1 U.S. Macroeconomic Trends
      • 1.2 Semiconductor Sector
        • 1.2.1 Semiconductor Shortages
        • 1.2.2 Packaging and Assembly Shortages
      • 1.3 TSMC's Outlook
    • 2 OSAT Market Analysis
      • 2.1 Market Overview
      • 2.2 OSAT Market
      • 2.3 Outlook
    • 3 Substrate Capacity Issues
      • 3.1 Larger Body Sizes and Layer Counts
        • 3.1.1 Server CPUs
        • 3.1.2 AI Accelerators and FPGAs
        • 3.1.3 Network Switch
      • 3.2 Demand Exceeds Capacity
      • 3.3 Increased Substrate Capacity
      • 3.4 Yield Improvements
      • 3.5 Alternatives for Build-Up Substrates
        • 3.5.1 RDL Options
          • 3.5.1.1 Amkor Technology Substrate-SWIFT®
          • 3.5.1.2 ASE FOCoS
          • 3.5.1.3 Deca M-Series™ Fan-out Chip on Substrate
          • 3.5.1.4 SPIL FOEB
          • 3.5.1.5 PTI RDL Interposer
          • 3.5.1.6 Samsung Interposer
          • 3.5.1.7 Shinko Electric i-THOP®
          • 3.5.1.8 TSMCSoIS
          • 3.5.1.9 TSMC's InFO-R and InFO-L
          • 3.5.1.10 Tongfu Microelectronics
          • 3.5.1.11 Unimicron RDL Interposer
        • 3.5.2 FO-WLP and Panels
          • 3.5.2.1 Amkor Technology
          • 3.5.2.2 ASE Group
          • 3.5.2.3 Chengdu ESWIN SiP Technology
          • 3.5.2.4 China Wafer Level CSP
          • 3.5.2.5 Nepes
          • 3.5.2.6 Powertech Technology
          • 3.5.2.7 Samsung
          • 3.5.2.8 Unimicron
    • ​​4 5G Adoption
      • 4.1 5G versus 4G Smartphones
      • 4.2 5G Infrastructure
        • 4.2.1 Small Cells
        • 4.2.2 Baseband Unit Equipment
        • 4.2.3 New Networking Equipment Components
    • ​5 Electric Vehicles
      • 5.1 EV Market Growth
      • 5.2 Key Suppliers of EV ICs
        • 5.2.1 Infineon Technologies
        • 5.2.2 LG Electronics
        • 5.2.3 NXP
        • 5.2.4 ON Semiconductor
        • 5.2.5 Panasonic
        • 5.2.6 Renesas
        • 5.2.7 Rohm Semiconductor
        • 5.2.8 STMicroelectronics
        • 5.2.9 Texas Instruments
    • ​2020 BGA and CSP Bibliography
    • References
  • Figures…
    • 1.1 Monthly U.S. housing starts.
    • 3.1 Intel’s PoINT.
    • 3.2 M-Series™ Gen 2 fan-out chip on substrate.
    • 3.3 M-Series™ silicon bridge interposer (chips first + chips last).
    • 3.4 SoIS with 91mm x 91mm body.
    • 3.5 SoIS demonstration vehicle.
    • 3.6 TSMC’s InFO-R.
    • 3.7 TSMC’s InFO-LSI.
    • 3.8 Fan-out (RDL-first) panel-level hybrid substrate for heterogeneous integration.
    • 3.9 Six-side molded PLCSPs.
    • 3.10 Mini-LED display using panel-level packaging.
    • 4.1 Comparison of 5G and 4G RF front-end circuits.
  • Tables…
    • 1.1 Selected Economies Real GDP Growth
    • 1.2 TSMC CAPEX and Revenue
    • 2.1 Revenue for Top 20 OSATs
    • 3.1 FC-BGA Substrate Supply and Demand
    • 3.2 Product Revenue Growth for Substrate/PCB Suppliers
    • 3.3 Selected Substrate Makers’ Gross Margin (All Products)
    • 3.4 Fan-Out on Substrate Suppliers
    • 3.5 RDL Interposer Activities
    • 3.6 FO-WLP Panel Activities
    • 3.7 PTI’s BF²O Panel Process
    • 4.1 5G Smartphones with mmWave
    • 4.2 RF Front-end for iPhone 12 Pro vs. iPhone 11 Pro
    • 4.3 Package Count Comparison for iPhone 12 Pro and 11 Pro
    • 4.4 Huawei 5G Sub-6 GHz Small Cell H11-2-372 Components
    • 4.5 Huawei Master BBU Selected Components
    • 4.6 Huawei 5G Sub-6GHz BBU Selected Components
    • 5.1 EV Sales by Region and Year-on-Year Growth
Stacks Image 25201
brochure
  • Published March 2021
  • 53 pages
  • 12 figures / 17 tables
  • 49 PowerPoint slides
  • $8,750 corporate license (4 issues)
    $2,500 single issue
Who We Are
TechSearch International is recognized around the world as a leading consulting company in the field of advanced semiconductor packaging and assembly, electronics manufacturing, and materials.
Network
Contact
  • email message
  • +1.512.372.8887
  • +1.512.372.8889
  • 4801 Spicewood Springs Rd, Ste 150
    Austin, TX 78759
    United States